Recent reports suggest that Taiwan Semiconductor Manufacturing Company (TSMC) is making significant strides in its efforts to sprint towards the next generation of process technology. TSMC has reportedly initiated preparatory work for 2nm trial production and plans to incorporate an advanced AI system to enhance energy efficiency, reduce carbon emissions, and accelerate trial production efficiency. Industry insiders anticipate that major players such as Apple and Nvidia will be among the first customers after TSMC achieves 2nm mass production, solidifying their lead over competitors like Samsung and Intel.

Apple and Nvidia Expected to be Early Customers

TSMC has refrained from commenting on the rumors surrounding its activities. However, the company emphasized that the development of 2nm technology is progressing smoothly, with mass production expected to commence in 2025.

Sources reveal that TSMC has dispatched engineers to the Zhuke research and development (R&D) plant to commence preliminary preparations for 2nm trial production. The company plans to assemble a dedicated R&D team of over 1,000 experts to spearhead global mass production at Zhuke Baoshan Wafer Fab 20. This advanced production base, planned to span six phases, will serve as TSMC’s primary 2nm facility in the future.

tsmc

Industry insiders indicate that TSMC intends to establish a small-scale trial production line at Zhuke for initial 2nm research and development purposes. The goal for this year is to conduct trial production of nearly 1,000 pieces, followed by a risk trial production sprint in 2024 and mass production in 2025. TSMC’s 2nm technology will leverage a new gate-around (GAA) transistor architecture, which is expected to significantly enhance system performance compared to 3nm technology. This improvement has garnered strong investment interest from potential customers, allowing for greater customization of solutions.

A lower value of nm for chipsets refers to the size of the transistor’s gate length in nanometers. Smaller transistors result in increased performance, higher efficiency, more transistors on a single chip, reduced heat generation, and potential cost reduction. These advancements allow for faster data processing, improved energy efficiency, increased functionality, better thermal management, and cost savings in chip production. However, the nm value is just one factor influencing a chipset’s overall performance, with other aspects like architecture and design also playing important roles.

TSMC previously unveiled its 2nm family process blueprint, with plans to commence mass production of the N2 variant in 2025. The backside rail design of N2 is tailored for high-speed computing (HPC) products, set to debut in the latter half of 2025. The N2P and N2X versions of the 2nm family are projected to launch in 2026. Furthermore, Nvidia CEO Jen-Hsun Huang has also publicly revealed that TSMC will integrate an AI system developed in collaboration with Nvidia and other partners into the 2nm trial production operations.

RELATED:

(Via)